Package: verilog-ext Fetcher: github Source: https://github.com/gmlarumbe/verilog-ext.git Checking out 2488a25267d1e3b612f1da617d5a9506d6db8275 Copying files (->) and directories (=>) from /mnt/store/melpa/working/verilog-ext/ to /tmp/verilog-extQP3oEP/verilog-ext-0.5.0 verilog-ext-beautify.el -> verilog-ext-beautify.el verilog-ext-block-end-comments.el -> verilog-ext-block-end-comments.el verilog-ext-capf.el -> verilog-ext-capf.el verilog-ext-compile.el -> verilog-ext-compile.el verilog-ext-eglot.el -> verilog-ext-eglot.el verilog-ext-flycheck.el -> verilog-ext-flycheck.el verilog-ext-font-lock.el -> verilog-ext-font-lock.el verilog-ext-formatter.el -> verilog-ext-formatter.el verilog-ext-hierarchy.el -> verilog-ext-hierarchy.el verilog-ext-hs.el -> verilog-ext-hs.el verilog-ext-imenu.el -> verilog-ext-imenu.el verilog-ext-lsp-bridge.el -> verilog-ext-lsp-bridge.el verilog-ext-lsp.el -> verilog-ext-lsp.el verilog-ext-lspce.el -> verilog-ext-lspce.el verilog-ext-nav.el -> verilog-ext-nav.el verilog-ext-ports.el -> verilog-ext-ports.el verilog-ext-tags.el -> verilog-ext-tags.el verilog-ext-template.el -> verilog-ext-template.el verilog-ext-time-stamp.el -> verilog-ext-time-stamp.el verilog-ext-typedef.el -> verilog-ext-typedef.el verilog-ext-utils.el -> verilog-ext-utils.el verilog-ext-which-func.el -> verilog-ext-which-func.el verilog-ext-xref.el -> verilog-ext-xref.el verilog-ext.el -> verilog-ext.el snippets => snippets langserver => langserver Created verilog-ext-0.5.0.tar containing: verilog-ext-0.5.0/ verilog-ext-0.5.0/langserver/ verilog-ext-0.5.0/langserver/hdl-checker.json verilog-ext-0.5.0/langserver/svlangserver.json verilog-ext-0.5.0/langserver/svls.json verilog-ext-0.5.0/langserver/verible.json verilog-ext-0.5.0/langserver/veridian.json verilog-ext-0.5.0/snippets/ verilog-ext-0.5.0/snippets/makefile-mode/ verilog-ext-0.5.0/snippets/makefile-mode/verilog-template verilog-ext-0.5.0/snippets/uvm_agent/ verilog-ext-0.5.0/snippets/uvm_agent/uvm_agent.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_agent_config.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_agent_pkg.sv verilog-ext-0.5.0/snippets/uvm_agent/uvm_driver.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_driver_bfm.sv verilog-ext-0.5.0/snippets/uvm_agent/uvm_if.sv verilog-ext-0.5.0/snippets/uvm_agent/uvm_monitor.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_monitor_bfm.sv verilog-ext-0.5.0/snippets/uvm_agent/uvm_seq_item.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_seq_lib.svh verilog-ext-0.5.0/snippets/uvm_agent/uvm_sva.sv verilog-ext-0.5.0/snippets/uvm_agent/uvm_types.svh verilog-ext-0.5.0/snippets/verilog-mode/ verilog-ext-0.5.0/snippets/verilog-mode/always verilog-ext-0.5.0/snippets/verilog-mode/always_comb verilog-ext-0.5.0/snippets/verilog-mode/always_ff verilog-ext-0.5.0/snippets/verilog-mode/always_latch verilog-ext-0.5.0/snippets/verilog-mode/assert verilog-ext-0.5.0/snippets/verilog-mode/assert_prop verilog-ext-0.5.0/snippets/verilog-mode/assign verilog-ext-0.5.0/snippets/verilog-mode/begin verilog-ext-0.5.0/snippets/verilog-mode/class verilog-ext-0.5.0/snippets/verilog-mode/clk_event verilog-ext-0.5.0/snippets/verilog-mode/clocking verilog-ext-0.5.0/snippets/verilog-mode/constraint verilog-ext-0.5.0/snippets/verilog-mode/covergroup verilog-ext-0.5.0/snippets/verilog-mode/display verilog-ext-0.5.0/snippets/verilog-mode/do-while verilog-ext-0.5.0/snippets/verilog-mode/else verilog-ext-0.5.0/snippets/verilog-mode/else-if verilog-ext-0.5.0/snippets/verilog-mode/final verilog-ext-0.5.0/snippets/verilog-mode/for verilog-ext-0.5.0/snippets/verilog-mode/foreach verilog-ext-0.5.0/snippets/verilog-mode/forever verilog-ext-0.5.0/snippets/verilog-mode/fork verilog-ext-0.5.0/snippets/verilog-mode/fork_any verilog-ext-0.5.0/snippets/verilog-mode/fork_none verilog-ext-0.5.0/snippets/verilog-mode/function verilog-ext-0.5.0/snippets/verilog-mode/generate verilog-ext-0.5.0/snippets/verilog-mode/if verilog-ext-0.5.0/snippets/verilog-mode/initial verilog-ext-0.5.0/snippets/verilog-mode/interface verilog-ext-0.5.0/snippets/verilog-mode/localparam verilog-ext-0.5.0/snippets/verilog-mode/logic verilog-ext-0.5.0/snippets/verilog-mode/logic_vector verilog-ext-0.5.0/snippets/verilog-mode/modport verilog-ext-0.5.0/snippets/verilog-mode/module verilog-ext-0.5.0/snippets/verilog-mode/module_param verilog-ext-0.5.0/snippets/verilog-mode/once verilog-ext-0.5.0/snippets/verilog-mode/package verilog-ext-0.5.0/snippets/verilog-mode/parameter verilog-ext-0.5.0/snippets/verilog-mode/program verilog-ext-0.5.0/snippets/verilog-mode/property verilog-ext-0.5.0/snippets/verilog-mode/repeat verilog-ext-0.5.0/snippets/verilog-mode/sequence verilog-ext-0.5.0/snippets/verilog-mode/star_comment verilog-ext-0.5.0/snippets/verilog-mode/task verilog-ext-0.5.0/snippets/verilog-mode/typedef verilog-ext-0.5.0/snippets/verilog-mode/uvm_component verilog-ext-0.5.0/snippets/verilog-mode/uvm_error verilog-ext-0.5.0/snippets/verilog-mode/uvm_fatal verilog-ext-0.5.0/snippets/verilog-mode/uvm_info verilog-ext-0.5.0/snippets/verilog-mode/uvm_object verilog-ext-0.5.0/snippets/verilog-mode/uvm_report verilog-ext-0.5.0/snippets/verilog-mode/uvm_typeid_create verilog-ext-0.5.0/snippets/verilog-mode/uvm_warning verilog-ext-0.5.0/snippets/verilog-mode/while verilog-ext-0.5.0/verilog-ext-beautify.el verilog-ext-0.5.0/verilog-ext-block-end-comments.el verilog-ext-0.5.0/verilog-ext-capf.el verilog-ext-0.5.0/verilog-ext-compile.el verilog-ext-0.5.0/verilog-ext-eglot.el verilog-ext-0.5.0/verilog-ext-flycheck.el verilog-ext-0.5.0/verilog-ext-font-lock.el verilog-ext-0.5.0/verilog-ext-formatter.el verilog-ext-0.5.0/verilog-ext-hierarchy.el verilog-ext-0.5.0/verilog-ext-hs.el verilog-ext-0.5.0/verilog-ext-imenu.el verilog-ext-0.5.0/verilog-ext-lsp-bridge.el verilog-ext-0.5.0/verilog-ext-lsp.el verilog-ext-0.5.0/verilog-ext-lspce.el verilog-ext-0.5.0/verilog-ext-nav.el verilog-ext-0.5.0/verilog-ext-pkg.el verilog-ext-0.5.0/verilog-ext-ports.el verilog-ext-0.5.0/verilog-ext-tags.el verilog-ext-0.5.0/verilog-ext-template.el verilog-ext-0.5.0/verilog-ext-time-stamp.el verilog-ext-0.5.0/verilog-ext-typedef.el verilog-ext-0.5.0/verilog-ext-utils.el verilog-ext-0.5.0/verilog-ext-which-func.el verilog-ext-0.5.0/verilog-ext-xref.el verilog-ext-0.5.0/verilog-ext.el ✓ Success: 2024-05-03T22:55:05+0000 verilog-ext-0.5.0.entry 2024-05-03T22:55:04+0000 verilog-ext-0.5.0.tar Built verilog-ext in 2.552s, finished at 2024-05-03T22:55:06+0000